用VHDL设计四个开关控制一盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变.(即任一开

用VHDL设计四个开关控制一盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变.(即任一开

题目
用VHDL设计四个开关控制一盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变.(即任一开
答案
SIGNAL sw1,sw1_r :STD_LOGIC := '0';
SIGNAL sw2,sw2_r :STD_LOGIC := '0';
SIGNAL sw3,sw3_r :STD_LOGIC := '0';
SIGNAL sw4,sw4_r :STD_LOGIC := '0';
SIGNAL status :STD_LOGIC := '0';
sw_proc:PROCESS(clk)
BEGIN
IF RISING_EDGE(clk) THEN
IF rst = '1' THEN
sw1_r
举一反三
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
1,人们染上烟瘾,最终因吸烟使自己丧命.
最新试题
热门考点

超级试练试题库

© 2017-2019 超级试练试题库,All Rights Reserved.