Error (10511):CONV_INTEGER type specified in Qualified Expression must match std_logic_vector type

Error (10511):CONV_INTEGER type specified in Qualified Expression must match std_logic_vector type

题目
Error (10511):CONV_INTEGER type specified in Qualified Expression must match std_logic_vector type
--baudrate is 9600
--9600*16=153600
--clkin is 10mhz
--m=(fout*2^n)/fc
--fout=153,600.fc=10,000,000.n=31
--m=(153,600*2^31)/10,000,000=32985349
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
ENTITY uart_clk IS
PORT (clk_in :IN std_logic;\x09--10Mhz
\x09\x09 clk_uart:buffer std_logic); --153,600
END uart_clk;
ARCHITECTURE dds OF uart_clk IS
\x09signal\x09temp :integer range 0 to 4294967295;--2^32-1
\x09signal\x09temp1:std_logic_vector(31 downto 0);
\x09signal\x09temp2:std_logic_vector(10 downto 0);
\x09constant m\x09 :integer :=65970698;--m*2
\x09begin
\x09\x09process(clk_in)
\x09\x09\x09begin
\x09\x09\x09\x09if clk_in'event and clk_in='1' then
\x09\x09\x09\x09\x09temp
答案
写得清楚点啊,就这段东西怎么知道啊
举一反三
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
1,人们染上烟瘾,最终因吸烟使自己丧命.
最新试题
热门考点

超级试练试题库

© 2017-2019 超级试练试题库,All Rights Reserved.