VHDL程序

VHDL程序

题目
VHDL程序
那位帮忙看下我这个程序哪里错了呀,编译的时候,说Error (10517): VHDL type mismatch error at /315jizhi.vhd(9): std_logic_vector type does not match integer literal
功能是实现316进制计数
程序如下:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY cnt315 IS
PORT(clk , clrn : IN STD_LOGIC;
q : out std_logic);
END cnt315;
ARCHITECTURE one OF cnt315 IS
SIGNAL q1 : STD_LOGIC_vector RANGE 0 TO 3;
SIGNAL q2 : BIT_vector RANGE 0 TO 3;
SIGNAL q3 : BIT_vector RANGE 0 TO 3;
BEGIN
PROCESS(clk,clrn)
variable cnt : integer range 0 to 315;
begin
if(clrn = '1') then q1
答案
std_logic_vector type does not match integer literal这个错误是说std_logic_vector类型与整形不匹配 主要原因是因为你的q1
举一反三
已知函数f(x)=x,g(x)=alnx,a∈R.若曲线y=f(x)与曲线y=g(x)相交,且在交点处有相同的切线,求a的值和该切线方程.
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
最新试题
热门考点

超级试练试题库

© 2017-2019 超级试练试题库,All Rights Reserved.